技术支持 Support
最新资讯 New
你的位置:首页 > 技术支持 > 培训资料

FPGA软件硬件协同设计培训资料

2015/2/5 17:01:28

课程简介


该课程是FPGA在嵌入式系统领域的应用,以XILINXMICROBLAZE 32位软核处理器为载体,介绍嵌入式系统中软件和硬件协同设计和协同调试的方法,诠释All Programmable在嵌入式系统设计中的重要意义。


详细培训视频请点击此处下载